NN11 ROSE CL RG 20141124.021307.000 20141124.021330.000 20141124.021535.000 STC 1 DAP_Started 126 1. No Yes 3067819794. 260565407. EPD_from_configuration No No 0 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 7 ; // UlSweep_Leg01EndFrq = -15000 ; // Hz UlSweep_Leg01Rate = 1000000 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 15000 ; // Hz UlSweep_Leg02Rate = 1000 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -15000 ; // Hz UlSweep_Leg03Rate = 1000 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = 0 ; // Hz UlSweep_Leg04Rate = 1000 ; // Hz/s UlSweep_Leg04HoldDur = 180 ; // s UlSweep_Leg05EndFrq = 15000 ; // Hz UlSweep_Leg05Rate = 1000 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -15000 ; // Hz UlSweep_Leg06Rate = 1000 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = 0 ; // Hz UlSweep_Leg07Rate = 1000 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 228 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6938259000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 1 ; // FreqTR2 = 1 ; // FreqDnlkCF = 7168259228 ; // Hz FreqDnlkConv = 7098059000 ; // Hz FreqPnSatTrsType = "Regenerative" ; // RgdPolarisation = "Y" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 46 ; // dBHz RgdCFrUnc = 100000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 100.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 1.260 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "CL" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "CL" ; // D2Source = "RGD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "CL" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "CL" ; // G2Source = "RGD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "CL" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "CL" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 1.0 ; // s RgToneSettl = 1.0 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 0.5 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgCodeChgTonePeak = No ; // RgDualRanging = "master" ; // RgDualSlaveIfms = "IFMS3" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 1 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 0.000 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s RgPnRxInvertedCode = No ; // UCDur = 10 ; // s UCMaxDs = 10 ; // UCDSetKind = "Frequency" ; // StationId = "NN11" ; // MissionId = "ROSETTA" ; // SpacecraftId = "ROSE" ; // RcdPolarisation = "Y" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 46 ; // dBHz RcdCFrUnc = 100000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 100.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdTLpBw = 0.00050 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 262144.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.00050 ; // fsr RcdSCLpModInd = 1.10 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 5 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = No ; // RcdSCLpSRate = 52428.80 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = No ; // ScdExpCN0 = 67 ; // dBHz ScdCFrUnc = 30000 ; // Hz ScdCFrRateUnc = 0 ; // Hz/s ScdCAcqMode = "Sweep" ; // ScdUseAcq = No ; // ScdCLpNoBw = 1000.0 ; // Hz ScdCLpOrder = 2 ; // ScdCLpPhEst = "DD" ; // ScdCLp_ChgDel = "STEP" ; // ScdTLpBw = 0.00010 ; // fsr ScdTLpOrder = 2 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "BPSK" ; // ScdModPRate = 2500000 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 0.0 ; // dB ScdModIChCoding = "NRZ-L swap'd" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = Yes ; // ScdMchCosine = No ; // ScdMchExcBw = 50 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 75 ; // dBHz GmdCFrUnc = 30000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 1000.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdTLpBw = 0.00001 ; // fsr GmdTLpOrder = 2 ; // GmdTLpPhEst = "DD" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 10000000 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.25" ; //
// Number SampleTime Delay Code AmbF SpCF SpTF CorF KD-1 RecF ToneLevel CodeLevel PhaseError ToneLoopSN DownModIndex 24 20141124.021330.000 3.380086654623e-07 0 No No No Yes -2.545046585201e-05 Yes -8.4 0.000 0.000 32.39629454882 0.718 25 20141124.021331.000 3.419745316713e-07 0 No No No Yes 0 Yes -8.1 0.000 0.000 32.89629454882 0.74 26 20141124.021332.000 3.176163199153e-06 2 No No No Yes -2.275513111272e-12 Yes -8.9 0.000 0.000 31.19629454882 0.683 27 20141124.021333.000 1.25784034291e-05 4 No No No Yes 4.685585253128e-12 Yes -7.8 -0.928 0.000 33.99629454882 0.764 28 20141124.021334.000 3.520453191613e-05 6 No No No Yes 1.030286966852e-13 Yes -8.5 0.874 0.000 34.29629454882 0.71 29 20141124.021335.000 5.043520130163e-06 8 No No No Yes 1.030286966852e-13 Yes -7.6 0.874 0.000 35.19629454882 0.777 30 20141124.021336.000 5.045818832407e-06 10 No No No Yes -3.893774191965e-12 Yes -8.1 0.937 0.000 31.69629454882 0.739 31 20141124.021337.000 5.057404675584e-06 12 No No No Yes 3.471889442608e-12 Yes -8.7 -0.926 0.000 31.59629454882 0.698 32 20141124.021338.000 0.00772110268153 14 No No No Yes -1.271094340893e-12 Yes -8.3 0.995 0.000 32.49629454882 0.727 33 20141124.021339.000 5.054994339181e-06 14 No No No Yes 2.711608715345e-12 Yes -8.0 0.832 0.000 31.49629454882 0.745 34 20141124.021340.000 5.0505490347e-06 14 Yes No No Yes -2.352229522273e-12 Yes -9.3 0.918 0.000 31.39629454882 0.656 35 20141124.021341.000 5.046537003389e-06 14 Yes No No Yes 1.832756169051e-12 Yes -7.8 0.963 0.000 31.99629454882 0.762 36 20141124.021342.000 5.036402618315e-06 14 Yes No No Yes -5.400457858684e-12 Yes -7.6 0.988 0.000 29.09629454882 0.775 37 20141124.021343.000 5.049382473202e-06 14 Yes No No Yes 2.446709501669e-12 Yes -8.1 0.967 0.000 32.19629454882 0.742 38 20141124.021344.000 5.050484961947e-06 14 Yes No No Yes -1.578071007202e-12 Yes -7.8 0.942 0.000 31.89629454882 0.76 39 20141124.021345.000 5.050089832421e-06 14 Yes No No Yes -1.969535645685e-13 Yes -8.3 0.896 0.000 31.99629454882 0.724 40 20141124.021346.000 5.050919170008e-06 14 Yes No No Yes 8.215650382226e-14 Yes -8.4 0.948 0.000 31.99629454882 0.72 41 20141124.021347.000 5.052558542541e-06 14 Yes No No Yes 2.544187083231e-12 Yes -8.5 0.936 0.000 31.09629454882 0.706 42 20141124.021348.000 5.038496432491e-06 14 Yes No No Yes -1.780353642289e-12 Yes -8.6 0.911 0.000 32.59629454882 0.698 43 20141124.021349.000 5.048886060305e-06 14 Yes No No Yes 2.746469718318e-12 Yes -7.3 0.936 0.000 32.79629454882 0.797 44 20141124.021350.000 5.052249110829e-06 14 Yes No No Yes 2.746469718318e-12 Yes -8.4 0.936 0.000 31.69629454882 0.715 45 20141124.021351.000 5.04946154992e-06 14 Yes No No Yes 1.895594792245e-12 Yes -8.2 0.946 0.000 29.99629454882 0.73 46 20141124.021352.000 5.048388247924e-06 14 Yes No No Yes -1.752376022068e-12 Yes -7.5 0.932 0.000 31.59629454882 0.781 47 20141124.021353.000 5.050602179788e-06 14 Yes No No Yes -2.352229522273e-12 Yes -7.1 0.974 0.000 33.19629454882 0.82 48 20141124.021354.000 5.056621389062e-06 14 Yes No No Yes 5.564437799421e-13 Yes -7.8 0.992 0.000 31.29629454882 0.758 49 20141124.021355.000 5.044678555179e-06 14 Yes No No Yes 5.564437799421e-13 Yes -8.4 0.992 0.000 30.69629454882 0.72 50 20141124.021356.000 5.042766963635e-06 14 Yes No No Yes 4.867217739957e-13 Yes -8.7 0.957 0.000 32.59629454882 0.692 51 20141124.021357.000 5.053571027342e-06 14 Yes No No Yes -3.133493464702e-12 Yes -7.9 0.883 0.000 32.79629454882 0.753 52 20141124.021358.000 5.054503975218e-06 14 Yes No No Yes 3.470557174978e-13 Yes -7.3 0.850 0.000 33.09629454882 0.803 53 20141124.021359.000 5.051904793991e-06 14 Yes No No Yes -2.707944979363e-12 Yes -8.8 0.963 0.000 31.19629454882 0.688 54 20141124.021400.000 5.055719956388e-06 14 Yes No No Yes -2.707944979363e-12 Yes -8.3 0.963 0.000 31.69629454882 0.725 55 20141124.021401.000 5.039981263295e-06 14 Yes No No Yes 2.125855047552e-12 Yes -8.4 0.935 0.000 32.29629454882 0.718 56 20141124.021402.000 5.055118070111e-06 14 Yes No No Yes -1.82909243307e-12 Yes -8.9 0.951 0.000 32.89629454882 0.679 57 20141124.021403.000 5.041865994569e-06 14 Yes No No Yes 3.199884801575e-12 Yes -8.7 0.978 0.000 31.19629454882 0.693 58 20141124.021404.000 5.045125435681e-06 14 Yes No No Yes 3.025579786709e-12 Yes -8.8 1.015 0.000 31.59629454882 0.684 59 20141124.021405.000 5.0497412134e-06 14 Yes No No Yes 3.025579786709e-12 Yes -9.2 1.015 0.000 31.19629454882 0.663 60 20141124.021406.000 5.052661571238e-06 14 Yes No No Yes 7.098766019453e-13 Yes -8.3 0.988 0.000 32.19629454882 0.723 61 20141124.021407.000 5.050759397456e-06 14 Yes No No Yes 5.075939668586e-13 Yes -8.3 1.015 0.000 32.29629454882 0.721 62 20141124.021408.000 5.045287420126e-06 14 Yes No No Yes 1.316724507205e-12 Yes -9.1 0.937 0.000 29.99629454882 0.668 63 20141124.021409.000 5.044035157854e-06 14 Yes No No Yes -1.25022214803e-12 Yes -7.4 1.002 0.000 32.59629454882 0.791 64 20141124.021410.000 5.051373027426e-06 14 Yes No No Yes -1.25022214803e-12 Yes -9.0 1.002 0.000 30.99629454882 0.672 65 20141124.021411.000 5.051919794447e-06 14 Yes No No Yes 3.172129225959e-12 Yes -7.8 0.970 0.000 31.69629454882 0.763 66 20141124.021412.000 5.050695788968e-06 14 Yes No No Yes -2.456923553496e-12 Yes -7.8 0.981 0.000 31.99629454882 0.762 67 20141124.021413.000 5.050272400237e-06 14 Yes No No Yes 1.170175067955e-13 Yes -8.4 0.985 0.000 31.19629454882 0.713 68 20141124.021414.000 5.044649718383e-06 14 Yes No No Yes -2.318145675417e-13 Yes -8.6 1.008 0.000 31.09629454882 0.699 69 20141124.021415.000 5.056103694423e-06 14 Yes No No Yes -2.318145675417e-13 Yes -7.6 1.008 0.000 32.09629454882 0.777 70 20141124.021416.000 5.062169999302e-06 14 Yes No No Yes -8.548717289614e-15 Yes -8.8 0.956 0.000 30.49629454882 0.685 71 20141124.021417.000 5.055266333186e-06 14 Yes No No Yes 1.951327988081e-12 Yes -7.9 0.951 0.000 31.99629454882 0.757 72 20141124.021418.000 5.042202630418e-06 14 Yes No No Yes -2.261635323464e-12 Yes -7.9 0.959 0.000 32.39629454882 0.755 73 20141124.021419.000 5.043022556384e-06 14 Yes No No Yes 2.948752353404e-12 Yes -9.4 0.984 0.000 30.89629454882 0.648 74 20141124.021420.000 5.041930410093e-06 14 Yes No No Yes -1.954658657155e-12 Yes -8.0 0.960 0.000 32.99629454882 0.749 75 20141124.021421.000 5.04665922288e-06 14 Yes No No Yes -3.565925332793e-12 Yes -8.1 0.911 0.000 31.59629454882 0.739 76 20141124.021422.000 5.052763196393e-06 14 Yes No No Yes 4.399591801985e-12 Yes -8.4 0.909 0.000 31.79629454882 0.719 77 20141124.021423.000 5.044474943456e-06 14 Yes No No Yes -1.640798608094e-12 Yes -8.5 0.922 0.000 31.19629454882 0.708 78 20141124.021424.000 5.05609845417e-06 14 Yes No No Yes -2.67308397639e-12 Yes -7.8 0.882 0.000 32.19629454882 0.758 79 20141124.021425.000 5.052387838596e-06 14 Yes No No Yes -2.67308397639e-12 Yes -8.0 0.882 0.000 31.99629454882 0.747 80 20141124.021426.000 5.045719640641e-06 14 Yes No No Yes 1.602717958349e-12 Yes -7.5 0.909 0.000 32.39629454882 0.782 81 20141124.021427.000 5.031779985174e-06 14 Yes No No Yes -1.60593760512e-12 Yes -7.9 0.917 0.000 31.99629454882 0.757 82 20141124.021428.000 5.050185180677e-06 14 Yes No No Yes 3.053113317719e-13 Yes -7.8 0.885 0.000 32.69629454882 0.759 83 20141124.021429.000 5.049714701384e-06 14 Yes No No Yes 3.255840042016e-12 Yes -8.0 0.918 0.000 30.89629454882 0.743 84 20141124.021430.000 5.058390064364e-06 14 Yes No No Yes 3.255840042016e-12 Yes -8.0 0.918 0.000 30.89629454882 0.75 85 20141124.021431.000 5.039863352676e-06 14 Yes No No Yes -2.275513111272e-12 Yes -7.5 0.958 0.000 32.99629454882 0.783 86 20141124.021432.000 5.040852806243e-06 14 Yes No No Yes 2.181588243388e-12 Yes -8.0 0.932 0.000 31.09629454882 0.747 87 20141124.021433.000 5.038121766815e-06 14 Yes No No Yes -1.508237978953e-12 Yes -8.5 0.927 0.000 32.79629454882 0.711 88 20141124.021434.000 5.047870895617e-06 14 Yes No No Yes -3.133493464702e-12 Yes -8.4 0.901 0.000 31.79629454882 0.716 89 20141124.021435.000 5.050989058903e-06 14 Yes No No Yes 3.353317623578e-12 Yes -7.9 0.953 0.000 31.49629454882 0.757 90 20141124.021436.000 5.049303514689e-06 14 Yes No No Yes -1.201372334947e-12 Yes -9.1 0.955 0.000 31.39629454882 0.667 91 20141124.021437.000 5.046676084673e-06 14 Yes No No Yes -1.152522521863e-12 Yes -8.4 0.916 0.000 31.99629454882 0.714 92 20141124.021438.000 5.038397236339e-06 14 Yes No No Yes 3.674172077695e-12 Yes -8.5 0.858 0.000 32.39629454882 0.708 93 20141124.021439.000 5.047534143976e-06 14 Yes No No Yes -6.439293542826e-14 Yes -8.5 0.991 0.000 32.89629454882 0.709 94 20141124.021440.000 5.047186099091e-06 14 Yes No No Yes -6.439293542826e-14 Yes -7.7 0.991 0.000 33.69629454882 0.773 95 20141124.021441.000 5.048458137258e-06 14 Yes No No Yes -3.126499059647e-12 Yes -8.6 0.947 0.000 30.49629454882 0.699 96 20141124.021442.000 5.049570038392e-06 14 Yes No No Yes 3.946398763333e-12 Yes -8.3 0.884 0.000 30.49629454882 0.72 97 20141124.021443.000 5.042204848891e-06 14 Yes No No Yes 6.261657858886e-13 Yes -7.9 0.918 0.000 33.29629454882 0.753 98 20141124.021444.000 5.045049846215e-06 14 Yes No No Yes 1.609601341102e-12 Yes -8.7 0.946 0.000 30.79629454882 0.694 99 20141124.021445.000 5.046350141176e-06 14 Yes No No Yes 1.609601341102e-12 Yes -7.3 0.946 0.000 32.19629454882 0.798 100 20141124.021446.000 5.06460463188e-06 14 Yes No No Yes -2.09421369135e-12 Yes -8.9 0.927 0.000 31.89629454882 0.682 101 20141124.021447.000 5.03803408935e-06 14 Yes No No Yes 4.307665335546e-13 Yes -7.8 0.875 0.000 32.09629454882 0.759 102 20141124.021448.000 5.049516315221e-06 14 Yes No No Yes -1.264099935838e-12 Yes -7.2 0.867 0.000 33.09629454882 0.808 103 20141124.021449.000 5.054989229853e-06 14 Yes No No Yes -2.163935697297e-12 Yes -8.1 0.986 0.000 31.99629454882 0.74 104 20141124.021450.000 5.047605187723e-06 14 Yes No No Yes -2.163935697297e-12 Yes -8.6 0.986 0.000 31.49629454882 0.699 105 20141124.021451.000 5.053812784684e-06 14 Yes No No Yes 9.592326932761e-14 Yes -8.8 0.953 0.000 32.19629454882 0.685 106 20141124.021452.000 5.058880667587e-06 14 Yes No No Yes -2.953193245503e-14 Yes -7.6 0.930 0.000 32.79629454882 0.774 107 20141124.021453.000 5.057129205183e-06 14 Yes No No Yes 4.267253217449e-12 Yes -7.5 0.882 0.000 32.79629454882 0.784 108 20141124.021454.000 5.054285122792e-06 14 Yes No No Yes -1.089794920972e-12 Yes -8.9 0.956 0.000 30.89629454882 0.681 109 20141124.021455.000 5.046505497398e-06 14 Yes No No Yes -1.089794920972e-12 Yes -8.2 0.956 0.000 31.59629454882 0.732 110 20141124.021456.000 5.045196712767e-06 14 Yes No No Yes 6.470379787515e-13 Yes -7.7 0.899 0.000 31.79629454882 0.769 111 20141124.021457.000 5.053890927717e-06 14 Yes No No Yes -1.187383524837e-12 Yes -7.8 0.932 0.000 32.19629454882 0.76 112 20141124.021458.000 5.059109514981e-06 14 Yes No No Yes -1.326938559032e-12 Yes -8.9 0.973 0.000 30.19629454882 0.679 113 20141124.021459.000 5.052902862779e-06 14 Yes No No Yes -4.12392342497e-12 Yes -8.4 0.933 0.000 32.49629454882 0.718 114 20141124.021500.000 5.040912926053e-06 14 Yes No No Yes -4.12392342497e-12 Yes -8.1 0.933 0.000 32.79629454882 0.742 115 20141124.021501.000 5.044916476466e-06 14 Yes No No Yes -1.131650329e-12 Yes -7.9 0.928 0.000 32.69629454882 0.756 116 20141124.021502.000 5.04392793849e-06 14 Yes No No Yes 3.827826944303e-12 Yes -7.8 0.923 0.000 32.39629454882 0.759 117 20141124.021503.000 5.049485623941e-06 14 Yes No No Yes -7.838174553854e-14 Yes -7.9 0.885 0.000 31.49629454882 0.751 118 20141124.021504.000 5.038362236407e-06 14 Yes No No Yes -8.805178808302e-13 Yes -8.3 0.910 0.000 32.79629454882 0.726 119 20141124.021505.000 5.042978021583e-06 14 Yes No No Yes -8.805178808302e-13 Yes -7.8 0.910 0.000 33.29629454882 0.765 120 20141124.021506.000 5.036592393753e-06 14 Yes No No Yes 3.206990228932e-12 Yes -8.1 0.973 0.000 29.79629454882 0.738 121 20141124.021507.000 5.050363452341e-06 14 Yes No No Yes -5.178080186852e-13 Yes -7.7 0.946 0.000 30.69629454882 0.765 122 20141124.021508.000 5.047792521876e-06 14 Yes No No Yes -3.286926286705e-12 Yes -7.6 1.011 0.000 32.19629454882 0.779 123 20141124.021509.000 5.049187006733e-06 14 Yes No No Yes 1.184163878065e-12 Yes -7.9 0.988 0.000 31.09629454882 0.755 124 20141124.021510.000 5.043893984416e-06 14 Yes No No Yes 1.184163878065e-12 Yes -8.5 0.988 0.000 30.49629454882 0.707 125 20141124.021511.000 5.037715589008e-06 14 Yes No No Yes -3.112510249537e-12 Yes -8.5 0.940 0.000 31.79629454882 0.707 126 20141124.021512.000 5.046136637996e-06 14 Yes No No Yes 1.447730824111e-13 Yes -8.3 0.951 0.000 31.59629454882 0.722 127 20141124.021513.000 5.050545204787e-06 14 Yes No No Yes 2.244426866582e-12 Yes -7.5 0.988 0.000 32.09629454882 0.788 128 20141124.021514.000 5.046165824636e-06 14 Yes No No Yes 1.951327988081e-12 Yes -8.7 0.974 0.000 30.89629454882 0.696 129 20141124.021515.000 5.045431614686e-06 14 Yes No No Yes 1.951327988081e-12 Yes -8.0 0.974 0.000 31.59629454882 0.746 130 20141124.021516.000 5.042295543969e-06 14 Yes No No Yes -5.248024237403e-13 Yes -8.0 0.987 0.000 32.89629454882 0.748 131 20141124.021517.000 5.045121718051e-06 14 Yes No No Yes 6.816769371199e-14 Yes -8.2 0.950 0.000 32.59629454882 0.732 132 20141124.021518.000 5.040874204544e-06 14 Yes No No Yes -2.533639964497e-12 Yes -8.3 1.008 0.000 30.99629454882 0.723 133 20141124.021519.000 5.051245001879e-06 14 Yes No No Yes 2.502442697505e-12 Yes -8.4 1.055 0.000 32.59629454882 0.715 134 20141124.021520.000 5.050397749625e-06 14 Yes No No Yes -1.766364832179e-12 Yes -7.4 1.015 0.000 33.89629454882 0.792 135 20141124.021521.000 5.062868971157e-06 14 Yes No No Yes 2.879030347458e-12 Yes -8.2 0.920 0.000 31.19629454882 0.729 136 20141124.021522.000 5.048401864905e-06 14 Yes No No Yes -1.201372334947e-12 Yes -7.4 0.991 0.000 33.39629454882 0.793 137 20141124.021523.000 5.049702145831e-06 14 Yes No No Yes -2.21278551038e-12 Yes -8.0 0.956 0.000 31.59629454882 0.747 138 20141124.021524.000 5.040952348017e-06 14 Yes No No Yes 3.562705686022e-12 Yes -8.3 1.013 0.000 31.49629454882 0.725 139 20141124.021525.000 5.053272864312e-06 14 Yes No No Yes 3.562705686022e-12 Yes -8.7 1.013 0.000 31.09629454882 0.692 140 20141124.021526.000 5.052237261433e-06 14 Yes No No Yes 6.121769757783e-13 Yes -8.7 0.970 0.000 31.49629454882 0.693 141 20141124.021527.000 5.046388515747e-06 14 Yes No No Yes -7.758238496081e-13 Yes -7.6 1.000 0.000 34.19629454882 0.774 142 20141124.021528.000 5.053048211752e-06 14 Yes No No Yes 9.470202400053e-13 Yes -7.0 0.973 0.000 33.49629454882 0.821 143 20141124.021529.000 5.048970240321e-06 14 Yes No No Yes 2.760458528428e-12 Yes -7.5 0.887 0.000 32.99629454882 0.787 144 20141124.021530.000 5.05405698945e-06 14 Yes No No Yes 2.760458528428e-12 Yes -7.6 0.887 0.000 32.89629454882 0.773 145 20141124.021531.000 5.052644597161e-06 14 Yes No No Yes -4.556466315364e-12 Yes -7.5 0.894 0.000 32.49629454882 0.786 146 20141124.021532.000 5.046080008618e-06 14 Yes No No Yes 1.170175067955e-12 Yes -8.2 0.922 0.000 31.89629454882 0.73 147 20141124.021533.000 5.058899732885e-06 14 Yes No No Yes -2.993938430507e-12 Yes -8.3 0.932 0.000 31.49629454882 0.721 148 20141124.021534.000 5.058391592908e-06 14 Yes No No Yes 4.448441615068e-12 Yes -8.3 0.957 0.000 31.39629454882 0.721 149 20141124.021535.000 5.053852090416e-06 14 Yes No No Yes -8.805178808302e-13 Yes -7.9 0.951 0.000 31.69629454882 0.757