MG11 ROSE OP G2 20160908.184649.000 20160908.214659.000 20160908.220049.000 STC 1 Max_Size_Reached 84 10. No Yes 3067785250. 0 - No No 3 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 7 ; // UlSweep_Leg01EndFrq = -14209 ; // Hz UlSweep_Leg01Rate = 1000000 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 15791 ; // Hz UlSweep_Leg02Rate = 1000 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -14209 ; // Hz UlSweep_Leg03Rate = 1000 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = 791 ; // Hz UlSweep_Leg04Rate = 1000 ; // Hz/s UlSweep_Leg04HoldDur = 180 ; // s UlSweep_Leg05EndFrq = 15791 ; // Hz UlSweep_Leg05Rate = 1000 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -14209 ; // Hz UlSweep_Leg06Rate = 1000 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = 791 ; // Hz UlSweep_Leg07Rate = 1000 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 791 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6938575000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 880 ; // FreqTR2 = 749 ; // FreqDnlkCF = 8421786703 ; // Hz FreqDnlkConv = 8351020000 ; // Hz FreqPnSatTrsType = "Transparent" ; // RgdPolarisation = "Combined" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 30 ; // dBHz RgdCFrUnc = 10000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 30.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 0.013 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "OP" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "OP" ; // D2Source = "RCD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "OP" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "OP" ; // G2Source = "RCD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "OP" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "OP" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 99.1 ; // s RgToneSettl = 99.1 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 83.0 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgCodeChgTonePeak = Yes ; // RgDualRanging = "no" ; // RgDualSlaveIfms = "None" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 8 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 2123366.400 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s RgPnRxInvertedCode = No ; // UCDur = 72000 ; // s UCMaxDs = 3600 ; // UCDSetKind = "Frequency" ; // StationId = "MG11" ; // MissionId = "ROSETTA" ; // SpacecraftId = "ROSE" ; // RcdPolarisation = "Combined" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 46 ; // dBHz RcdCFrUnc = 100000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 100.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdEnableLowSnrExt = No ; // RcdTLpBw = 0.00050 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 262144.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.00050 ; // fsr RcdSCLpModInd = 1.10 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 5 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = No ; // RcdSCLpSRate = 52428.80 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = Yes ; // ScdExpCN0 = 40 ; // dBHz ScdCFrUnc = 50000 ; // Hz ScdCFrRateUnc = 200 ; // Hz/s ScdCAcqMode = "FFT2" ; // ScdUseAcq = No ; // ScdCLpNoBw = 300.0 ; // Hz ScdCLpOrder = 2 ; // ScdCLpPhEst = "RCD" ; // ScdCLp_ChgDel = "STEP" ; // ScdEnableLowSnrExt = No ; // ScdTLpBw = 0.00010 ; // fsr ScdTLpOrder = 1 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "NRZ/PM" ; // ScdModPRate = 1200000 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 1.0 ; // dB ScdModIChCoding = "NRZ-L" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = No ; // ScdMchCosine = No ; // ScdMchExcBw = 50 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 46 ; // dBHz GmdCFrUnc = 10000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 500.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdEnableLowSnrExt = Yes ; // GmdTLpBw = 0.00100 ; // fsr GmdTLpOrder = 2 ; // GmdTLpPhEst = "NDA" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 138461 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.5" ; //
// Number SampleTime CarrierLevel PolarAngle IncohAgcGain InpPowChY InpPowChX CarrLock CLPhErrStDev CLPhErrPeak CLPhErrMean TimPChLock Es/No-SNR CarrFreqOffs BestLockFreq TonSubLock SubcEstPwr CLSNR TMSNR TMFER TMRxFrames TMGoodFrames 1082 20160908.214659.000 -81.7 0.2439 35.0 -53.7 -53.6 Locked 0.0136 0.0465 -0.0000 Yes 3.8 190804.796 7168088092.815 Yes 7.1 24.4 3.800 0.0031670 29681 29587 1083 20160908.214709.000 -81.1 0.2320 35.0 -53.8 -53.6 Locked 0.0135 0.0503 -0.0000 Yes 3.9 190783.780 7168088077.914 Yes 7.1 24.4 3.400 0.0031642 29707 29613 1084 20160908.214719.000 -81.7 0.2362 35.0 -53.6 -53.7 Locked 0.0135 0.0391 -0.0000 Yes 3.8 190771.609 7168088070.538 Yes 7.1 24.4 3.500 0.0031616 29732 29638 1085 20160908.214729.000 -81.7 0.2336 35.0 -53.8 -53.4 Locked 0.0149 0.0477 -0.0000 Yes 3.7 190789.676 7168088088.897 Yes 7.0 23.6 3.500 0.0031588 29758 29664 1086 20160908.214739.000 -81.7 0.2384 35.0 -53.8 -53.6 Locked 0.0142 0.0416 -0.0000 Yes 3.7 190777.297 7168088081.337 Yes 7.1 24.0 3.400 0.0031561 29784 29690 1087 20160908.214749.000 -82.0 0.2491 35.0 -53.8 -53.6 Locked 0.0126 0.0352 -0.0000 Yes 3.8 190727.352 7168088041.796 Yes 7.1 25.0 3.700 0.0031534 29809 29715 1088 20160908.214759.000 -82.1 0.2449 35.0 -53.7 -53.6 Locked 0.0136 0.0452 0.0000 Yes 3.7 190744.257 7168088059.155 Yes 7.0 24.4 3.400 0.0031507 29835 29741 1089 20160908.214809.000 -81.7 0.2309 35.0 -53.6 -53.9 Locked 0.0142 0.0532 -0.0000 Yes 3.7 190738.479 7168088057.202 Yes 7.1 24.0 3.100 0.0031479 29861 29767 1090 20160908.214819.000 -81.7 0.2360 35.0 -53.8 -53.7 Locked 0.0137 0.0476 0.0001 Yes 3.8 190712.769 7168088038.279 Yes 7.1 24.3 3.400 0.0031453 29886 29792 1091 20160908.214829.000 -81.8 0.2373 35.0 -53.9 -53.7 Locked 0.0149 0.0520 0.0000 Yes 3.7 190691.308 7168088022.970 Yes 7.1 23.6 3.200 0.0031426 29912 29818 1092 20160908.214839.000 -81.6 0.2384 34.8 -53.5 -53.5 Locked 0.0144 0.0426 -0.0000 Yes 3.7 190705.854 7168088038.305 Yes 7.1 23.9 3.600 0.0031399 29937 29843 1093 20160908.214849.000 -82.1 0.2467 35.0 -53.7 -53.7 Locked 0.0152 0.0448 -0.0000 Yes 3.7 190679.806 7168088019.083 Yes 7.0 23.4 3.600 0.0031372 29963 29869 1094 20160908.214859.000 -81.9 0.2419 35.0 -53.7 -53.6 Locked 0.0141 0.0403 -0.0000 Yes 3.7 190682.063 7168088023.951 Yes 7.0 24.1 3.300 0.0031345 29989 29895 1095 20160908.214909.000 -81.5 0.2450 35.0 -53.8 -53.5 Locked 0.0140 0.0476 0.0000 Yes 3.7 190690.310 7168088033.913 Yes 7.1 24.1 3.100 0.0031319 30014 29920 1096 20160908.214919.000 -81.7 0.2360 35.0 -53.8 -53.6 Locked 0.0146 0.0444 0.0000 Yes 3.6 190670.385 7168088019.893 Yes 7.1 23.8 3.400 0.0031292 30040 29946 1097 20160908.214929.000 -82.0 0.2447 35.0 -53.9 -53.5 Locked 0.0147 0.0420 0.0000 Yes 3.7 190633.776 7168087991.667 Yes 7.0 23.7 3.700 0.0031265 30066 29972 1098 20160908.214939.000 -81.7 0.2362 35.0 -53.6 -53.7 Locked 0.0151 0.0591 0.0000 Yes 3.7 190636.322 7168087996.766 Yes 7.4 23.5 3.200 0.0031239 30091 29997 1099 20160908.214949.000 -82.4 0.2461 34.8 -53.7 -53.6 Locked 0.0137 0.0379 -0.0000 Yes 3.7 190645.046 7168088007.119 Yes 7.1 24.3 3.600 0.0031212 30117 30023 1100 20160908.214959.000 -81.7 0.2455 35.0 -53.8 -53.6 Locked 0.0137 0.0397 0.0000 Yes 3.7 190625.464 7168087993.375 Yes 7.1 24.3 3.400 0.0031186 30142 30048 1101 20160908.215009.000 -81.9 0.2439 35.0 -53.7 -53.6 Locked 0.0144 0.0413 -0.0000 Yes 3.7 190627.004 7168087997.606 Yes 7.1 23.9 3.200 0.0031159 30168 30074 1102 20160908.215019.000 -82.1 0.2387 35.0 -53.7 -53.4 Locked 0.0153 0.0434 -0.0000 Yes 3.5 190617.624 7168087992.539 Yes 7.1 23.4 3.500 0.0031132 30194 30100 1103 20160908.215029.000 -81.2 0.2461 35.0 -53.8 -53.6 Locked 0.0151 0.0521 -0.0000 Yes 3.6 190582.461 7168087965.521 Yes 7.0 23.5 3.400 0.0031106 30219 30125 1104 20160908.215039.000 -81.8 0.2465 34.8 -53.5 -53.5 Locked 0.0140 0.0449 0.0002 Yes 3.6 190593.422 7168087977.760 Yes 7.1 24.1 3.400 0.0031080 30245 30151 1105 20160908.215049.000 -82.1 0.2391 35.0 -53.6 -53.6 Locked 0.0141 0.0480 0.0000 Yes 3.7 190564.977 7168087956.453 Yes 7.1 24.1 3.600 0.0031054 30270 30176 1106 20160908.215059.000 -82.5 0.2421 35.0 -53.7 -53.6 Locked 0.0148 0.0423 0.0000 Yes 3.7 190586.063 7168087977.303 Yes 7.1 23.6 3.500 0.0031027 30296 30202 1107 20160908.215109.000 -82.1 0.2437 35.0 -53.6 -53.7 Locked 0.0138 0.0482 0.0000 Yes 3.7 190591.564 7168087984.883 Yes 7.1 24.2 3.800 0.0031001 30322 30228 1108 20160908.215119.000 -81.6 0.2459 35.0 -53.5 -53.7 Locked 0.0152 0.0550 -0.0000 Yes 3.8 190548.048 7168087950.737 Yes 7.1 23.4 3.400 0.0030975 30347 30253 1109 20160908.215129.000 -82.3 0.2417 35.0 -53.6 -53.5 Locked 0.0135 0.0425 -0.0000 Yes 3.7 190542.429 7168087948.844 Yes 7.2 24.4 3.300 0.0030949 30373 30279 1110 20160908.215139.000 -81.8 0.2346 34.8 -53.8 -53.7 Locked 0.0138 0.0489 -0.0001 Yes 3.7 190531.501 7168087942.429 Yes 7.1 24.2 3.700 0.0030922 30399 30305 1111 20160908.215149.000 -81.6 0.2317 35.0 -53.8 -53.6 Locked 0.0132 0.0396 0.0000 Yes 3.9 190536.203 7168087949.315 Yes 7.0 24.6 4.000 0.0030897 30424 30330 1112 20160908.215159.000 -82.1 0.2416 35.0 -53.7 -53.8 Locked 0.0137 0.0429 -0.0000 Yes 4.0 190532.976 7168087949.447 Yes 7.1 24.3 3.900 0.0030870 30450 30356 1113 20160908.215209.000 -81.8 0.2389 35.0 -53.7 -53.6 Locked 0.0142 0.0425 0.0000 Yes 3.9 190534.557 7168087953.668 Yes 7.1 24.0 3.500 0.0030845 30475 30381 1114 20160908.215219.000 -81.6 0.2369 35.0 -53.6 -53.5 Locked 0.0145 0.0420 0.0002 Yes 3.7 190518.796 7168087943.125 Yes 7.0 23.8 3.300 0.0030819 30501 30407 1115 20160908.215229.000 -81.5 0.2439 35.0 -53.7 -53.5 Locked 0.0137 0.0432 -0.0001 Yes 3.7 190484.041 7168087916.409 Yes 7.2 24.3 3.500 0.0030792 30527 30433 1116 20160908.215239.000 -82.2 0.2465 35.0 -53.7 -53.7 Locked 0.0142 0.0510 0.0000 Yes 3.7 190494.088 7168087927.826 Yes 7.1 24.0 3.700 0.0030767 30552 30458 1117 20160908.215249.000 -82.0 0.2375 35.0 -53.7 -53.6 Locked 0.0139 0.0489 -0.0000 Yes 3.7 190501.011 7168087936.579 Yes 7.1 24.2 3.300 0.0030741 30578 30484 1118 20160908.215259.000 -81.6 0.2423 35.0 -53.8 -53.7 Locked 0.0147 0.0418 -0.0000 Yes 3.7 190473.398 7168087915.931 Yes 7.1 23.7 3.400 0.0030716 30603 30509 1119 20160908.215309.000 -81.7 0.2392 35.0 -53.7 -53.6 Locked 0.0144 0.0477 -0.0001 Yes 3.7 190478.776 7168087923.363 Yes 7.0 23.9 3.100 0.0030690 30629 30535 1120 20160908.215319.000 -82.3 0.2455 35.0 -53.7 -53.6 Locked 0.0139 0.0483 -0.0000 Yes 3.6 190468.741 7168087917.669 Yes 7.1 24.2 3.400 0.0030664 30655 30561 1121 20160908.215329.000 -82.0 0.2305 35.0 -53.8 -53.6 Locked 0.0149 0.0541 0.0000 Yes 3.6 190458.196 7168087911.539 Yes 7.1 23.6 3.300 0.0030639 30680 30586 1122 20160908.215339.000 -82.1 0.2472 35.0 -53.6 -53.7 Locked 0.0146 0.0403 -0.0000 Yes 3.7 190449.904 7168087907.323 Yes 7.1 23.8 3.300 0.0030613 30706 30612 1123 20160908.215349.000 -82.1 0.2428 35.0 -53.5 -53.5 Locked 0.0144 0.0505 0.0000 Yes 3.7 190417.895 7168087882.915 Yes 7.1 23.9 3.500 0.0030587 30732 30638 1124 20160908.215359.000 -81.6 0.2450 35.0 -53.5 -53.6 Locked 0.0137 0.0414 -0.0000 Yes 3.7 190423.754 7168087890.736 Yes 7.1 24.3 3.300 0.0030562 30757 30663 1125 20160908.215409.000 -81.9 0.2416 35.0 -53.7 -53.7 Locked 0.0143 0.0495 -0.0000 Yes 3.7 190425.127 7168087894.736 Yes 7.0 23.9 3.500 0.0030536 30783 30689 1126 20160908.215419.000 -81.4 0.2348 35.0 -53.8 -53.5 Locked 0.0143 0.0433 -0.0001 Yes 3.5 190400.663 7168087876.739 Yes 7.1 23.9 3.100 0.0030512 30808 30714 1127 20160908.215429.000 -82.1 0.2318 35.0 -53.6 -53.3 Locked 0.0151 0.0461 -0.0000 Yes 3.8 190399.820 7168087878.844 Yes 7.1 23.5 3.800 0.0030486 30834 30740 1128 20160908.215439.000 -82.3 0.2403 35.0 -53.7 -53.7 Locked 0.0138 0.0490 0.0000 Yes 3.7 190430.632 7168087907.890 Yes 7.0 24.2 3.400 0.0030460 30860 30766 1129 20160908.215449.000 -81.4 0.2428 34.8 -53.4 -53.2 Locked 0.0146 0.0476 0.0000 Yes 3.7 190405.610 7168087889.408 Yes 7.1 23.8 3.700 0.0030435 30885 30791 1130 20160908.215459.000 -81.6 0.2447 35.0 -53.8 -53.5 Locked 0.0152 0.0453 -0.0001 Yes 3.8 190388.778 7168087877.892 Yes 7.0 23.4 3.400 0.0030410 30911 30817 1131 20160908.215509.000 -82.0 0.2408 35.0 -53.7 -53.5 Locked 0.0142 0.0373 0.0000 Yes 3.7 190374.289 7168087868.367 Yes 7.1 24.0 3.300 0.0030384 30937 30843 1132 20160908.215519.000 -81.4 0.2406 35.0 -53.8 -53.7 Locked 0.0145 0.0539 -0.0001 Yes 3.7 190356.153 7168087855.735 Yes 7.1 23.8 3.000 0.0030360 30962 30868 1133 20160908.215529.000 -81.8 0.2401 35.0 -53.8 -53.5 Locked 0.0143 0.0479 0.0000 Yes 3.6 190342.357 7168087846.792 Yes 6.9 23.9 2.900 0.0030334 30988 30894 1134 20160908.215539.000 -81.7 0.2350 35.0 -53.8 -53.3 Locked 0.0155 0.0419 0.0000 Yes 3.7 190335.878 7168087844.074 Yes 7.1 23.2 3.200 0.0030310 31013 30919 1135 20160908.215549.000 -81.5 0.2437 35.0 -53.7 -53.4 Locked 0.0134 0.0461 -0.0000 Yes 3.7 190337.117 7168087847.922 Yes 7.0 24.5 3.700 0.0030284 31039 30945 1136 20160908.215559.000 -81.6 0.2359 35.0 -53.6 -53.5 Locked 0.0142 0.0424 -0.0000 Yes 3.7 190327.297 7168087842.353 Yes 7.0 24.0 3.700 0.0030259 31065 30971 1137 20160908.215609.000 -81.7 0.2454 35.0 -53.7 -53.5 Locked 0.0152 0.0470 -0.0001 Yes 3.6 190319.702 7168087838.674 Yes 7.2 23.4 3.300 0.0030235 31090 30996 1138 20160908.215619.000 -82.0 0.2362 34.8 -53.3 -53.4 Locked 0.0143 0.0430 0.0000 Yes 3.6 190267.952 7168087797.406 Yes 7.0 23.9 2.700 0.0030210 31116 31022 1139 20160908.215629.000 -81.9 0.2309 35.0 -53.7 -53.5 Locked 0.0152 0.0426 0.0000 Yes 3.6 190329.970 7168087852.974 Yes 7.1 23.4 3.500 0.0030185 31141 31047 1140 20160908.215639.000 -82.0 0.2439 35.0 -53.5 -53.6 Locked 0.0134 0.0504 0.0000 Yes 3.7 190295.386 7168087826.310 Yes 7.1 24.5 3.600 0.0030160 31167 31073 1141 20160908.215649.000 -82.2 0.2434 35.0 -53.9 -53.7 Locked 0.0147 0.0461 0.0002 Yes 3.5 190291.572 7168087825.835 Yes 7.1 23.7 3.100 0.0030135 31193 31099 1142 20160908.215659.000 -82.0 0.2384 35.0 -53.8 -53.6 Locked 0.0136 0.0470 -0.0001 Yes 3.6 190268.974 7168087809.367 Yes 7.0 24.4 3.000 0.0030111 31218 31124 1143 20160908.215709.000 -81.8 0.2396 35.0 -53.7 -53.6 Locked 0.0154 0.0479 0.0000 Yes 3.7 190267.907 7168087811.221 Yes 7.0 23.3 3.000 0.0030086 31244 31150 1144 20160908.215719.000 -82.3 0.2402 35.0 -53.7 -53.9 Locked 0.0148 0.0495 0.0000 Yes 3.8 190258.307 7168087805.809 Yes 7.0 23.6 2.900 0.0030061 31270 31176 1145 20160908.215729.000 -81.6 0.2417 34.8 -53.6 -53.5 Locked 0.0144 0.0448 -0.0000 Yes 3.6 190249.584 7168087801.139 Yes 7.0 23.9 3.300 0.0030037 31295 31201 1146 20160908.215739.000 -81.8 0.2450 35.0 -53.7 -53.6 Locked 0.0137 0.0447 -0.0000 Yes 3.6 190237.576 7168087793.671 Yes 7.0 24.3 3.100 0.0030012 31321 31227 1147 20160908.215749.000 -82.2 0.2418 35.0 -53.7 -53.4 Locked 0.0145 0.0409 -0.0000 Yes 3.7 190219.375 7168087780.926 Yes 7.0 23.8 3.000 0.0029988 31346 31252 1148 20160908.215759.000 -81.4 0.2465 35.0 -53.7 -53.6 Locked 0.0144 0.0476 -0.0001 Yes 3.7 190194.097 7168087762.154 Yes 7.1 23.9 3.400 0.0029963 31372 31278 1149 20160908.215809.000 -82.0 0.2461 35.0 -53.7 -53.7 Locked 0.0137 0.0452 0.0001 Yes 3.7 190156.582 7168087732.963 Yes 7.1 24.3 3.100 0.0029938 31398 31304 1150 20160908.215819.000 -81.8 0.2467 35.0 -54.0 -53.8 Locked 0.0148 0.0448 0.0000 Yes 3.8 190222.488 7168087791.799 Yes 7.0 23.6 3.500 0.0029914 31423 31329 1151 20160908.215829.000 -81.7 0.2424 34.8 -53.6 -53.5 Locked 0.0144 0.0394 -0.0000 Yes 3.6 190194.683 7168087770.864 Yes 7.1 23.9 3.400 0.0029890 31449 31355 1152 20160908.215839.000 -81.8 0.2391 35.0 -53.5 -53.5 Locked 0.0140 0.0420 0.0000 Yes 3.7 190202.233 7168087780.021 Yes 7.1 24.1 3.400 0.0029865 31475 31381 1153 20160908.215849.000 -81.9 0.2313 34.8 -53.8 -53.6 Locked 0.0141 0.0456 0.0000 Yes 3.6 190190.886 7168087773.087 Yes 7.0 24.1 3.200 0.0029841 31500 31406 1154 20160908.215859.000 -82.0 0.2494 35.0 -53.9 -53.9 Locked 0.0133 0.0464 0.0000 Yes 3.7 190171.418 7168087759.238 Yes 7.1 24.6 3.500 0.0029817 31526 31432 1155 20160908.215909.000 -82.4 0.2370 35.0 -53.7 -53.7 Locked 0.0128 0.0411 -0.0000 Yes 3.6 190148.071 7168087742.084 Yes 7.0 24.9 3.400 0.0029793 31551 31457 1156 20160908.215919.000 -81.8 0.2444 35.0 -53.6 -53.5 Locked 0.0144 0.0468 -0.0000 Yes 3.7 190129.222 7168087728.754 Yes 7.0 23.9 3.600 0.0029769 31577 31483 1157 20160908.215929.000 -81.7 0.2414 35.0 -53.6 -53.5 Locked 0.0148 0.0448 -0.0000 Yes 3.7 190125.730 7168087728.493 Yes 7.0 23.6 3.400 0.0029744 31603 31509 1158 20160908.215939.000 -81.9 0.2486 35.0 -53.6 -53.3 Locked 0.0140 0.0392 0.0000 Yes 3.8 190131.760 7168087736.333 Yes 7.0 24.1 3.600 0.0029721 31628 31534 1159 20160908.215949.000 -81.7 0.2373 35.0 -53.8 -53.7 Locked 0.0157 0.0433 0.0000 Yes 3.4 190114.130 7168087724.029 Yes 7.1 23.1 3.100 0.0029696 31654 31560 1160 20160908.215959.000 -82.3 0.2448 35.0 -53.6 -53.5 Locked 0.0134 0.0376 -0.0001 Yes 3.4 190114.264 7168087726.843 Yes 7.0 24.5 3.000 0.0029673 31679 31585 1161 20160908.220009.000 -81.4 0.2492 35.0 -53.7 -53.5 Locked 0.0145 0.0523 -0.0001 Yes 3.7 190105.838 7168087722.367 Yes 7.1 23.8 3.400 0.0029648 31705 31611 1162 20160908.220019.000 -81.7 0.2454 34.8 -53.7 -53.6 Locked 0.0145 0.0414 -0.0000 Yes 3.6 190088.391 7168087710.208 Yes 7.1 23.8 3.500 0.0029624 31731 31637 1163 20160908.220029.000 -81.8 0.2460 35.0 -53.9 -53.8 Locked 0.0138 0.0430 0.0000 Yes 3.7 190103.377 7168087725.653 Yes 7.0 24.2 3.100 0.0029601 31756 31662 1164 20160908.220039.000 -81.8 0.2389 35.0 -53.6 -53.6 Locked 0.0156 0.0416 -0.0000 Yes 3.6 190089.621 7168087716.628 Yes 7.1 23.2 3.600 0.0029576 31782 31688 1165 20160908.220049.000 -81.8 0.2405 35.0 -53.6 -53.5 Locked 0.0134 0.0425 -0.0000 Yes 3.8 190074.709 7168087706.616 Yes 7.0 24.5 3.400 0.0029552 31808 31714