NN11 ROSE CL RG 20141013.025452.000 20141013.025515.000 20141013.025720.000 STC 1 DAP_Started 126 1. No Yes 3067825500. 260565407. EPD_from_configuration No No 0 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 7 ; // UlSweep_Leg01EndFrq = -15000 ; // Hz UlSweep_Leg01Rate = 1000000 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 15000 ; // Hz UlSweep_Leg02Rate = 1000 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -15000 ; // Hz UlSweep_Leg03Rate = 1000 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = 0 ; // Hz UlSweep_Leg04Rate = 1000 ; // Hz/s UlSweep_Leg04HoldDur = 180 ; // s UlSweep_Leg05EndFrq = 15000 ; // Hz UlSweep_Leg05Rate = 1000 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -15000 ; // Hz UlSweep_Leg06Rate = 1000 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = 0 ; // Hz UlSweep_Leg07Rate = 1000 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 135 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6938436000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 1 ; // FreqTR2 = 1 ; // FreqDnlkCF = 7168436135 ; // Hz FreqDnlkConv = 7098236000 ; // Hz FreqPnSatTrsType = "Regenerative" ; // RgdPolarisation = "X" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 46 ; // dBHz RgdCFrUnc = 100000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 100.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 1.260 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "CL" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "CL" ; // D2Source = "RGD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "CL" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "CL" ; // G2Source = "RGD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "CL" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "CL" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 1.0 ; // s RgToneSettl = 1.0 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 0.5 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgCodeChgTonePeak = No ; // RgDualRanging = "master" ; // RgDualSlaveIfms = "IFMS3" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 1 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 0.000 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s RgPnRxInvertedCode = No ; // UCDur = 10 ; // s UCMaxDs = 10 ; // UCDSetKind = "Frequency" ; // StationId = "NN11" ; // MissionId = "ROSETTA" ; // SpacecraftId = "ROSE" ; // RcdPolarisation = "X" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 46 ; // dBHz RcdCFrUnc = 1000000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 100.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdTLpBw = 0.00050 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 0.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.00050 ; // fsr RcdSCLpModInd = 1.10 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 1 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = Yes ; // RcdSCLpSRate = 65536.00 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = No ; // ScdExpCN0 = 67 ; // dBHz ScdCFrUnc = 30000 ; // Hz ScdCFrRateUnc = 0 ; // Hz/s ScdCAcqMode = "Sweep" ; // ScdUseAcq = No ; // ScdCLpNoBw = 1000.0 ; // Hz ScdCLpOrder = 2 ; // ScdCLpPhEst = "DD" ; // ScdCLp_ChgDel = "STEP" ; // ScdTLpBw = 0.00010 ; // fsr ScdTLpOrder = 2 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "BPSK" ; // ScdModPRate = 2500000 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 0.0 ; // dB ScdModIChCoding = "NRZ-L swap'd" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = Yes ; // ScdMchCosine = No ; // ScdMchExcBw = 50 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 75 ; // dBHz GmdCFrUnc = 30000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 1000.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdTLpBw = 0.00001 ; // fsr GmdTLpOrder = 2 ; // GmdTLpPhEst = "DD" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 10000000 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.25" ; //
// Number SampleTime Delay Code AmbF SpCF SpTF CorF KD-1 RecF ToneLevel CodeLevel PhaseError ToneLoopSN DownModIndex 24 20141013.025515.000 3.392896516437e-07 0 No No No Yes -4.919449278373e-05 Yes -8.6 0.000 0.000 91.49629454882 0.704 25 20141013.025516.000 3.391676694388e-07 0 No No No Yes 0 Yes -8.6 0.000 0.000 91.19629454882 0.702 26 20141013.025517.000 1.281172303231e-06 2 No No No Yes 1.318944953255e-13 Yes -8.6 0.000 0.000 91.49629454882 0.699 27 20141013.025518.000 1.258389471126e-05 4 No No No Yes 3.272937476595e-13 Yes -8.7 -0.958 0.000 91.59629454882 0.698 28 20141013.025519.000 5.048012532224e-06 6 No No No Yes -4.120037644384e-13 Yes -8.6 0.944 0.000 91.49629454882 0.698 29 20141013.025520.000 5.048154282868e-06 8 No No No Yes -4.120037644384e-13 Yes -8.6 0.944 0.000 91.49629454882 0.699 30 20141013.025521.000 0.0004873014107326 10 No No No Yes 1.80744308409e-13 Yes -8.6 -0.943 0.000 91.79629454882 0.703 31 20141013.025522.000 5.048183469507e-06 12 No No No Yes 2.731148640578e-14 Yes -8.6 0.943 0.000 91.79629454882 0.703 32 20141013.025523.000 5.048080325018e-06 14 No No No Yes -2.376987495723e-13 Yes -8.6 0.945 0.000 91.59629454882 0.704 33 20141013.025524.000 5.048118466251e-06 14 Yes No No Yes 8.304468224196e-14 Yes -8.6 0.944 0.000 91.59629454882 0.705 34 20141013.025525.000 5.047864618279e-06 14 Yes No No Yes 8.304468224196e-14 Yes -8.5 0.944 0.000 91.69629454882 0.706 35 20141013.025526.000 5.047648446617e-06 14 Yes No No Yes 2.016165012719e-13 Yes -8.6 0.944 0.000 91.59629454882 0.704 36 20141013.025527.000 5.04833649936e-06 14 Yes No No Yes -6.328271240363e-14 Yes -8.6 0.944 0.000 91.49629454882 0.702 37 20141013.025528.000 5.048855010081e-06 14 Yes No No Yes -3.911315715754e-13 Yes -8.6 0.945 0.000 91.29629454882 0.701 38 20141013.025529.000 5.048667095157e-06 14 Yes No No Yes 1.041389197098e-13 Yes -8.6 0.945 0.000 91.29629454882 0.702 39 20141013.025530.000 5.048102426845e-06 14 Yes No No Yes 1.041389197098e-13 Yes -8.6 0.945 0.000 91.29629454882 0.705 40 20141013.025531.000 5.047660199082e-06 14 Yes No No Yes 3.441691376338e-14 Yes -8.6 0.944 0.000 91.59629454882 0.705 41 20141013.025532.000 5.04733099893e-06 14 Yes No No Yes 1.041389197098e-13 Yes -8.6 0.945 0.000 91.79629454882 0.705 42 20141013.025533.000 5.04810382293e-06 14 Yes No No Yes -7.549516567451e-15 Yes -8.6 0.944 0.000 91.49629454882 0.704 43 20141013.025534.000 5.048509305107e-06 14 Yes No No Yes 1.33226762955e-14 Yes -8.6 0.944 0.000 91.79629454882 0.699 44 20141013.025535.000 5.048735826131e-06 14 Yes No No Yes 1.33226762955e-14 Yes -8.7 0.944 0.000 91.69629454882 0.697 45 20141013.025536.000 5.048585587572e-06 14 Yes No No Yes 3.412825577698e-13 Yes -8.7 0.946 0.000 91.69629454882 0.697 46 20141013.025537.000 5.048341159116e-06 14 Yes No No Yes 8.304468224196e-14 Yes -8.6 0.947 0.000 91.59629454882 0.7 47 20141013.025538.000 5.047757645632e-06 14 Yes No No Yes 1.667554982987e-13 Yes -8.6 0.946 0.000 91.59629454882 0.704 48 20141013.025539.000 5.047522634938e-06 14 Yes No No Yes -1.443289932013e-14 Yes -8.5 0.945 0.000 91.69629454882 0.707 49 20141013.025540.000 5.047871604406e-06 14 Yes No No Yes -1.443289932013e-14 Yes -8.5 0.945 0.000 91.69629454882 0.71 50 20141013.025541.000 5.04783439302e-06 14 Yes No No Yes -1.818545314336e-13 Yes -8.5 0.945 0.000 91.59629454882 0.711 51 20141013.025542.000 5.047806601149e-06 14 Yes No No Yes -4.241051954068e-14 Yes -8.5 0.944 0.000 91.79629454882 0.71 52 20141013.025543.000 5.047552753178e-06 14 Yes No No Yes 9.015010959956e-14 Yes -8.6 0.944 0.000 91.79629454882 0.703 53 20141013.025544.000 5.048221967601e-06 14 Yes No No Yes 1.59872115546e-13 Yes -8.7 0.945 0.000 91.49629454882 0.697 54 20141013.025545.000 5.048081147625e-06 14 Yes No No Yes 1.59872115546e-13 Yes -8.7 0.945 0.000 91.49629454882 0.694 55 20141013.025546.000 5.049362597633e-06 14 Yes No No Yes 3.201883203019e-13 Yes -8.7 0.946 0.000 91.69629454882 0.695 56 20141013.025547.000 5.049363062995e-06 14 Yes No No Yes 2.715605518233e-13 Yes -8.7 0.948 0.000 91.49629454882 0.694 57 20141013.025548.000 5.049024444205e-06 14 Yes No No Yes 9.015010959956e-14 Yes -8.7 0.948 0.000 91.49629454882 0.695 58 20141013.025549.000 5.048582215564e-06 14 Yes No No Yes 7.616129948929e-14 Yes -8.7 0.948 0.000 91.19629454882 0.694 59 20141013.025550.000 5.048290691283e-06 14 Yes No No Yes 7.616129948929e-14 Yes -8.7 0.948 0.000 91.19629454882 0.694 60 20141013.025551.000 5.047801368572e-06 14 Yes No No Yes -5.551115123126e-16 Yes -8.7 0.948 0.000 91.49629454882 0.696 61 20141013.025552.000 5.048593029849e-06 14 Yes No No Yes -1.888489364887e-13 Yes -8.7 0.948 0.000 91.69629454882 0.698 62 20141013.025553.000 5.048725360977e-06 14 Yes No No Yes -1.191269305423e-13 Yes -8.6 0.948 0.000 91.79629454882 0.699 63 20141013.025554.000 5.048575122418e-06 14 Yes No No Yes 3.341771304122e-13 Yes -8.6 0.946 0.000 91.49629454882 0.701 64 20141013.025555.000 5.048161151448e-06 14 Yes No No Yes 3.341771304122e-13 Yes -8.5 0.946 0.000 91.59629454882 0.706 65 20141013.025556.000 5.047426934481e-06 14 Yes No No Yes 2.156053113822e-13 Yes -8.6 0.945 0.000 91.49629454882 0.704 66 20141013.025557.000 5.047069477097e-06 14 Yes No No Yes -1.330047183501e-13 Yes -8.6 0.945 0.000 91.29629454882 0.704 67 20141013.025558.000 5.047493797429e-06 14 Yes No No Yes -1.748601263785e-13 Yes -8.5 0.947 0.000 91.39629454882 0.707 68 20141013.025559.000 5.048144173862e-06 14 Yes No No Yes -1.469935284604e-13 Yes -8.5 0.944 0.000 91.29629454882 0.712 69 20141013.025600.000 5.048069287373e-06 14 Yes No No Yes -1.469935284604e-13 Yes -8.5 0.944 0.000 91.29629454882 0.709 70 20141013.025601.000 5.047636478358e-06 14 Yes No No Yes 6.927791673661e-14 Yes -8.5 0.945 0.000 91.39629454882 0.709 71 20141013.025602.000 5.047580422238e-06 14 Yes No No Yes 1.389999226831e-13 Yes -8.5 0.943 0.000 91.49629454882 0.707 72 20141013.025603.000 5.047147606096e-06 14 Yes No No Yes 2.04281036531e-14 Yes -8.6 0.942 0.000 91.49629454882 0.705 73 20141013.025604.000 5.047421229963e-06 14 Yes No No Yes -9.114931032172e-14 Yes -8.6 0.944 0.000 91.79629454882 0.704 74 20141013.025605.000 5.048683834579e-06 14 Yes No No Yes -9.114931032172e-14 Yes -8.6 0.944 0.000 91.79629454882 0.699 75 20141013.025606.000 5.048656049726e-06 14 Yes No No Yes -1.121325254871e-13 Yes -8.6 0.944 0.000 91.79629454882 0.704 76 20141013.025607.000 5.048439871047e-06 14 Yes No No Yes 9.703349235224e-14 Yes -8.6 0.944 0.000 91.29629454882 0.704 77 20141013.025608.000 5.047894040012e-06 14 Yes No No Yes -2.167155344068e-13 Yes -8.6 0.943 0.000 91.59629454882 0.704 78 20141013.025609.000 5.046999693028e-06 14 Yes No No Yes -5.585532036889e-13 Yes -8.5 0.944 0.000 91.39629454882 0.71 79 20141013.025610.000 5.046727014797e-06 14 Yes No No Yes -5.585532036889e-13 Yes -8.5 0.944 0.000 91.39629454882 0.711 80 20141013.025611.000 5.047772982828e-06 14 Yes No No Yes -4.940492459582e-14 Yes -8.5 0.944 0.000 91.39629454882 0.711 81 20141013.025612.000 5.04820672794e-06 14 Yes No No Yes 1.389999226831e-13 Yes -8.5 0.945 0.000 91.59629454882 0.713 82 20141013.025613.000 5.047858683055e-06 14 Yes No No Yes 6.439293542826e-15 Yes -8.5 0.944 0.000 91.79629454882 0.709 83 20141013.025614.000 5.047633098894e-06 14 Yes No No Yes 1.529887327934e-13 Yes -8.6 0.945 0.000 91.69629454882 0.705 84 20141013.025615.000 5.047115513249e-06 14 Yes No No Yes 1.529887327934e-13 Yes -8.5 0.945 0.000 91.79629454882 0.707 85 20141013.025616.000 5.046993537514e-06 14 Yes No No Yes -2.142730437527e-14 Yes -8.5 0.944 0.000 91.89629454882 0.708 86 20141013.025617.000 5.047172956901e-06 14 Yes No No Yes 2.04281036531e-14 Yes -8.5 0.944 0.000 91.59629454882 0.709 87 20141013.025618.000 5.048256614619e-06 14 Yes No No Yes -1.888489364887e-13 Yes -8.5 0.944 0.000 91.59629454882 0.713 88 20141013.025619.000 5.048247653885e-06 14 Yes No No Yes 3.064215547965e-13 Yes -8.5 0.945 0.000 91.89629454882 0.711 89 20141013.025620.000 5.047588795239e-06 14 Yes No No Yes 3.064215547965e-13 Yes -8.5 0.945 0.000 91.89629454882 0.71 90 20141013.025621.000 5.046882828047e-06 14 Yes No No Yes 2.087219286295e-13 Yes -8.5 0.944 0.000 91.59629454882 0.71 91 20141013.025622.000 5.046666663403e-06 14 Yes No No Yes -1.609823385706e-13 Yes -8.5 0.945 0.000 91.69629454882 0.709 92 20141013.025623.000 5.04742064223e-06 14 Yes No No Yes 9.015010959956e-14 Yes -8.6 0.943 0.000 91.69629454882 0.705 93 20141013.025624.000 5.048598489624e-06 14 Yes No No Yes -2.84217094304e-14 Yes -8.6 0.944 0.000 91.89629454882 0.704 94 20141013.025625.000 5.048900356249e-06 14 Yes No No Yes -2.84217094304e-14 Yes -8.6 0.944 0.000 91.89629454882 0.703 95 20141013.025626.000 5.048326269299e-06 14 Yes No No Yes 5.528910662633e-14 Yes -8.5 0.945 0.000 91.79629454882 0.706 96 20141013.025627.000 5.0476485589e-06 14 Yes No No Yes 2.366995488501e-13 Yes -8.6 0.944 0.000 91.89629454882 0.705 97 20141013.025628.000 5.047404137463e-06 14 Yes No No Yes -1.679767436258e-13 Yes -8.6 0.944 0.000 91.69629454882 0.705 98 20141013.025629.000 5.047404595368e-06 14 Yes No No Yes 6.439293542826e-15 Yes -8.6 0.944 0.000 91.69629454882 0.705 99 20141013.025630.000 5.047932532129e-06 14 Yes No No Yes 6.439293542826e-15 Yes -8.6 0.944 0.000 91.69629454882 0.705 100 20141013.025631.000 5.048262654958e-06 14 Yes No No Yes -2.515765373801e-13 Yes -8.5 0.945 0.000 91.79629454882 0.706 101 20141013.025632.000 5.047848690938e-06 14 Yes No No Yes 3.133049375492e-13 Yes -8.5 0.945 0.000 91.59629454882 0.712 102 20141013.025633.000 5.047500645833e-06 14 Yes No No Yes -8.426592756905e-14 Yes -8.5 0.945 0.000 91.29629454882 0.71 103 20141013.025634.000 5.04697365383e-06 14 Yes No No Yes -1.443289932013e-14 Yes -8.5 0.945 0.000 91.29629454882 0.711 104 20141013.025635.000 5.047124816096e-06 14 Yes No No Yes -1.443289932013e-14 Yes -8.5 0.945 0.000 91.29629454882 0.712 105 20141013.025636.000 5.047728104598e-06 14 Yes No No Yes -3.492761635471e-13 Yes -8.5 0.945 0.000 91.29629454882 0.71 106 20141013.025637.000 5.048698720011e-06 14 Yes No No Yes 9.703349235224e-14 Yes -8.5 0.945 0.000 91.69629454882 0.71 107 20141013.025638.000 5.048444879057e-06 14 Yes No No Yes -2.84217094304e-14 Yes -8.5 0.946 0.000 91.99629454882 0.708 108 20141013.025639.000 5.047814264484e-06 14 Yes No No Yes 1.389999226831e-13 Yes -8.5 0.947 0.000 91.99629454882 0.709 109 20141013.025640.000 5.047155405399e-06 14 Yes No No Yes 1.389999226831e-13 Yes -8.5 0.947 0.000 91.99629454882 0.711 110 20141013.025641.000 5.047042836131e-06 14 Yes No No Yes 2.435829316028e-13 Yes -8.5 0.947 0.000 91.89629454882 0.712 111 20141013.025642.000 5.0473823931e-06 14 Yes No No Yes 1.181277298201e-13 Yes -8.5 0.946 0.000 91.59629454882 0.708 112 20141013.025643.000 5.048362426275e-06 14 Yes No No Yes -1.191269305423e-13 Yes -8.6 0.946 0.000 91.29629454882 0.705 113 20141013.025644.000 5.048287547351e-06 14 Yes No No Yes -2.376987495723e-13 Yes -8.5 0.944 0.000 91.59629454882 0.706 114 20141013.025645.000 5.047741703158e-06 14 Yes No No Yes -2.376987495723e-13 Yes -8.5 0.944 0.000 91.59629454882 0.707 115 20141013.025646.000 5.047111102621e-06 14 Yes No No Yes 6.439293542826e-15 Yes -8.6 0.944 0.000 91.49629454882 0.705 116 20141013.025647.000 5.047073884107e-06 14 Yes No No Yes -6.328271240363e-14 Yes -8.5 0.944 0.000 91.39629454882 0.706 117 20141013.025648.000 5.047441697541e-06 14 Yes No No Yes -2.098321516541e-13 Yes -8.5 0.944 0.000 91.39629454882 0.707 118 20141013.025649.000 5.047866010855e-06 14 Yes No No Yes 5.528910662633e-14 Yes -8.5 0.943 0.000 91.69629454882 0.708 119 20141013.025650.000 5.048271500489e-06 14 Yes No No Yes 5.528910662633e-14 Yes -8.5 0.943 0.000 91.69629454882 0.708 120 20141013.025651.000 5.047782169883e-06 14 Yes No No Yes 3.064215547965e-13 Yes -8.5 0.943 0.000 91.69629454882 0.708 121 20141013.025652.000 5.047245759241e-06 14 Yes No No Yes 2.04281036531e-14 Yes -8.6 0.943 0.000 91.19629454882 0.705 122 20141013.025653.000 5.047020161045e-06 14 Yes No No Yes 3.201883203019e-13 Yes -8.5 0.944 0.000 91.39629454882 0.707 123 20141013.025654.000 5.047444488395e-06 14 Yes No No Yes 6.927791673661e-14 Yes -8.6 0.945 0.000 91.19629454882 0.705 124 20141013.025655.000 5.048066601894e-06 14 Yes No No Yes -2.865485626557e-13 Yes -8.5 0.946 0.000 91.29629454882 0.707 125 20141013.025656.000 5.048424996141e-06 14 Yes No No Yes 3.441691376338e-14 Yes -8.6 0.944 0.000 91.49629454882 0.705 126 20141013.025657.000 5.04836894046e-06 14 Yes No No Yes -6.328271240363e-14 Yes -8.6 0.944 0.000 91.49629454882 0.703 127 20141013.025658.000 5.047936137476e-06 14 Yes No No Yes -2.585709424352e-13 Yes -8.6 0.943 0.000 91.49629454882 0.701 128 20141013.025659.000 5.047663445209e-06 14 Yes No No Yes 1.59872115546e-13 Yes -8.6 0.944 0.000 91.49629454882 0.701 129 20141013.025700.000 5.047946486838e-06 14 Yes No No Yes -7.727152251391e-14 Yes -8.6 0.944 0.000 91.79629454882 0.702 130 20141013.025701.000 5.048935941282e-06 14 Yes No No Yes 2.087219286295e-13 Yes -8.7 0.946 0.000 91.49629454882 0.695 131 20141013.025702.000 5.049397944941e-06 14 Yes No No Yes 4.818367926873e-14 Yes -8.7 0.945 0.000 91.79629454882 0.694 132 20141013.025703.000 5.049643296499e-06 14 Yes No No Yes -1.679767436258e-13 Yes -8.7 0.945 0.000 91.59629454882 0.697 133 20141013.025704.000 5.04885257225e-06 14 Yes No No Yes 2.715605518233e-13 Yes -8.7 0.947 0.000 91.79629454882 0.693 134 20141013.025705.000 5.048589312219e-06 14 Yes No No Yes -7.549516567451e-15 Yes -8.7 0.947 0.000 91.89629454882 0.696 135 20141013.025706.000 5.048646284589e-06 14 Yes No No Yes 1.33226762955e-14 Yes -8.7 0.947 0.000 91.59629454882 0.693 136 20141013.025707.000 5.04927784392e-06 14 Yes No No Yes -9.814371537686e-14 Yes -8.7 0.947 0.000 91.49629454882 0.695 137 20141013.025708.000 5.0499847278e-06 14 Yes No No Yes 6.439293542826e-15 Yes -8.7 0.947 0.000 91.19629454882 0.695 138 20141013.025709.000 5.049476559916e-06 14 Yes No No Yes 1.318944953255e-13 Yes -8.7 0.947 0.000 91.19629454882 0.696 139 20141013.025710.000 5.048648145158e-06 14 Yes No No Yes 5.224709553886e-13 Yes -8.7 0.946 0.000 91.19629454882 0.694 140 20141013.025711.000 5.047970462831e-06 14 Yes No No Yes 9.015010959956e-14 Yes -8.7 0.948 0.000 91.19629454882 0.694 141 20141013.025712.000 5.048470128763e-06 14 Yes No No Yes 2.04281036531e-14 Yes -8.7 0.948 0.000 91.59629454882 0.693 142 20141013.025713.000 5.048856765768e-06 14 Yes No No Yes -1.818545314336e-13 Yes -8.7 0.948 0.000 91.59629454882 0.694 143 20141013.025714.000 5.049233985012e-06 14 Yes No No Yes -3.541611448554e-14 Yes -8.6 0.947 0.000 91.89629454882 0.699 144 20141013.025715.000 5.048565720446e-06 14 Yes No No Yes -8.426592756905e-14 Yes -8.6 0.945 0.000 91.79629454882 0.701 145 20141013.025716.000 5.047878592287e-06 14 Yes No No Yes 1.878497357666e-13 Yes -8.6 0.944 0.000 91.59629454882 0.701 146 20141013.025717.000 5.047464614299e-06 14 Yes No No Yes 9.015010959956e-14 Yes -8.6 0.945 0.000 91.49629454882 0.702 147 20141013.025718.000 5.047370882308e-06 14 Yes No No Yes 4.130029651606e-14 Yes -8.6 0.946 0.000 91.49629454882 0.704 148 20141013.025719.000 5.048181402574e-06 14 Yes No No Yes 2.295941214925e-13 Yes -8.6 0.945 0.000 91.49629454882 0.704 149 20141013.025720.000 5.048577458875e-06 14 Yes No No Yes 2.156053113822e-13 Yes -8.6 0.944 0.000 91.49629454882 0.703