NN11 ROSE CL RG 20141025.032710.000 20141025.032733.000 20141025.032938.000 STC 1 DAP_Started 126 1. No Yes 3067775862. 260565407. EPD_from_configuration No No 0 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 7 ; // UlSweep_Leg01EndFrq = -15000 ; // Hz UlSweep_Leg01Rate = 1000000 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 15000 ; // Hz UlSweep_Leg02Rate = 1000 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -15000 ; // Hz UlSweep_Leg03Rate = 1000 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = 0 ; // Hz UlSweep_Leg04Rate = 1000 ; // Hz/s UlSweep_Leg04HoldDur = 180 ; // s UlSweep_Leg05EndFrq = 15000 ; // Hz UlSweep_Leg05Rate = 1000 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -15000 ; // Hz UlSweep_Leg06Rate = 1000 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = 0 ; // Hz UlSweep_Leg07Rate = 1000 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 944 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6938402000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 1 ; // FreqTR2 = 1 ; // FreqDnlkCF = 7168402944 ; // Hz FreqDnlkConv = 7098202000 ; // Hz FreqPnSatTrsType = "Regenerative" ; // RgdPolarisation = "X" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 46 ; // dBHz RgdCFrUnc = 100000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 100.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 1.260 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "CL" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "CL" ; // D2Source = "RGD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "CL" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "CL" ; // G2Source = "RGD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "CL" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "CL" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 1.0 ; // s RgToneSettl = 1.0 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 0.5 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgCodeChgTonePeak = No ; // RgDualRanging = "master" ; // RgDualSlaveIfms = "IFMS3" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 1 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 0.000 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s RgPnRxInvertedCode = No ; // UCDur = 10 ; // s UCMaxDs = 10 ; // UCDSetKind = "Frequency" ; // StationId = "NN11" ; // MissionId = "ROSETTA" ; // SpacecraftId = "ROSE" ; // RcdPolarisation = "X" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 46 ; // dBHz RcdCFrUnc = 1000000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 100.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdTLpBw = 0.00050 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 0.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.00050 ; // fsr RcdSCLpModInd = 1.10 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 1 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = Yes ; // RcdSCLpSRate = 65536.00 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = No ; // ScdExpCN0 = 67 ; // dBHz ScdCFrUnc = 30000 ; // Hz ScdCFrRateUnc = 0 ; // Hz/s ScdCAcqMode = "Sweep" ; // ScdUseAcq = No ; // ScdCLpNoBw = 1000.0 ; // Hz ScdCLpOrder = 2 ; // ScdCLpPhEst = "DD" ; // ScdCLp_ChgDel = "STEP" ; // ScdTLpBw = 0.00010 ; // fsr ScdTLpOrder = 2 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "BPSK" ; // ScdModPRate = 2500000 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 0.0 ; // dB ScdModIChCoding = "NRZ-L swap'd" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = Yes ; // ScdMchCosine = No ; // ScdMchExcBw = 50 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 75 ; // dBHz GmdCFrUnc = 30000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 1000.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdTLpBw = 0.00001 ; // fsr GmdTLpOrder = 2 ; // GmdTLpPhEst = "DD" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 10000000 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.25" ; //
// Number SampleTime Delay Code AmbF SpCF SpTF CorF KD-1 RecF ToneLevel CodeLevel PhaseError ToneLoopSN DownModIndex 24 20141025.032733.000 3.407307617008e-07 0 No No No Yes -7.386348323868e-06 Yes -8.7 0.000 0.000 91.19629454882 0.698 25 20141025.032734.000 3.402320185964e-07 0 No No No Yes -4.416467191959e-13 Yes -8.7 0.000 0.000 91.19629454882 0.698 26 20141025.032735.000 1.282142462493e-06 1 No No No Yes -4.416467191959e-13 Yes -8.7 0.000 0.000 91.19629454882 0.698 27 20141025.032736.000 5.048511275328e-06 3 No No No Yes -5.80646641879e-14 Yes -8.5 0.956 0.000 91.29629454882 0.708 28 20141025.032737.000 5.04666561601e-06 5 No No No Yes -1.620925615953e-14 Yes -8.5 0.942 0.000 91.29629454882 0.708 29 20141025.032738.000 5.047777523942e-06 7 No No No Yes -1.766364832179e-13 Yes -8.6 0.938 0.000 91.19629454882 0.701 30 20141025.032739.000 5.049539342946e-06 9 No No No Yes 8.149037000749e-14 Yes -8.7 0.941 0.000 91.19629454882 0.696 31 20141025.032740.000 5.049803540279e-06 11 No No No Yes 8.149037000749e-14 Yes -8.7 0.941 0.000 91.19629454882 0.697 32 20141025.032741.000 5.049568530462e-06 13 No No No Yes -2.045030811359e-13 Yes -8.6 0.936 0.000 91.29629454882 0.699 33 20141025.032742.000 5.0497385379e-06 14 No No No Yes 4.092282068768e-13 Yes -8.7 0.937 0.000 91.09629454882 0.695 34 20141025.032743.000 5.049541203515e-06 14 Yes No No Yes 4.301003997398e-13 Yes -8.7 0.939 0.000 91.29629454882 0.696 35 20141025.032744.000 5.049635859211e-06 14 Yes No No Yes 1.176836406103e-14 Yes -8.7 0.940 0.000 90.99629454882 0.698 36 20141025.032745.000 5.049664580928e-06 14 Yes No No Yes 1.176836406103e-14 Yes -8.7 0.940 0.000 90.99629454882 0.697 37 20141025.032746.000 5.049081067443e-06 14 Yes No No Yes -3.927969061124e-13 Yes -8.6 0.941 0.000 91.19629454882 0.705 38 20141025.032747.000 5.046604334769e-06 14 Yes No No Yes -2.672306820273e-13 Yes -8.5 0.941 0.000 91.19629454882 0.71 39 20141025.032748.000 5.046557705622e-06 14 Yes No No Yes 1.30340183091e-13 Yes -8.5 0.940 0.000 91.19629454882 0.71 40 20141025.032749.000 5.048112306459e-06 14 Yes No No Yes -1.556532680525e-13 Yes -8.6 0.940 0.000 91.19629454882 0.704 41 20141025.032750.000 5.049535041092e-06 14 Yes No No Yes -1.556532680525e-13 Yes -8.7 0.940 0.000 91.09629454882 0.698 42 20141025.032751.000 5.04996878094e-06 14 Yes No No Yes -1.137978600241e-13 Yes -8.7 0.941 0.000 91.39629454882 0.695 43 20141025.032752.000 5.049762027039e-06 14 Yes No No Yes 3.326228181777e-13 Yes -8.6 0.941 0.000 91.59629454882 0.699 44 20141025.032753.000 5.049847263768e-06 14 Yes No No Yes -6.494804694057e-14 Yes -8.7 0.941 0.000 91.29629454882 0.698 45 20141025.032754.000 5.048416040013e-06 14 Yes No No Yes 1.652011860642e-13 Yes -8.5 0.942 0.000 91.29629454882 0.708 46 20141025.032755.000 5.047879622135e-06 14 Yes No No Yes 1.652011860642e-13 Yes -8.5 0.942 0.000 91.29629454882 0.709 47 20141025.032756.000 5.047559841061e-06 14 Yes No No Yes 1.092459456231e-13 Yes -8.6 0.941 0.000 91.19629454882 0.704 48 20141025.032757.000 5.047701590828e-06 14 Yes No No Yes -2.882138971927e-13 Yes -8.6 0.942 0.000 91.09629454882 0.703 49 20141025.032758.000 5.047777408369e-06 14 Yes No No Yes 2.000621890375e-13 Yes -8.6 0.942 0.000 91.09629454882 0.703 50 20141025.032759.000 5.047259827987e-06 14 Yes No No Yes 1.372235658437e-13 Yes -8.6 0.943 0.000 91.39629454882 0.703 51 20141025.032800.000 5.046629220432e-06 14 Yes No No Yes 1.372235658437e-13 Yes -8.5 0.943 0.000 91.49629454882 0.71 52 20141025.032801.000 5.046149315482e-06 14 Yes No No Yes 1.929567616799e-13 Yes -8.5 0.941 0.000 91.09629454882 0.709 53 20141025.032802.000 5.046065010025e-06 14 Yes No No Yes -2.463584891643e-13 Yes -8.5 0.942 0.000 91.29629454882 0.707 54 20141025.032803.000 5.047073308765e-06 14 Yes No No Yes -2.393640841092e-13 Yes -8.5 0.941 0.000 90.99629454882 0.709 55 20141025.032804.000 5.049626323903e-06 14 Yes No No Yes 3.814726312612e-13 Yes -8.7 0.942 0.000 90.89629454882 0.696 56 20141025.032805.000 5.05039914878e-06 14 Yes No No Yes 3.814726312612e-13 Yes -8.7 0.942 0.000 90.89629454882 0.697 57 20141025.032806.000 5.049994595571e-06 14 Yes No No Yes 1.791899961745e-13 Yes -8.7 0.943 0.000 90.79629454882 0.693 58 20141025.032807.000 5.049250959088e-06 14 Yes No No Yes 3.814726312612e-13 Yes -8.7 0.944 0.000 91.19629454882 0.693 59 20141025.032808.000 5.049449225074e-06 14 Yes No No Yes 8.149037000749e-14 Yes -8.7 0.945 0.000 91.09629454882 0.697 60 20141025.032809.000 5.050043086714e-06 14 Yes No No Yes 3.046451979571e-13 Yes -8.7 0.943 0.000 91.39629454882 0.695 61 20141025.032810.000 5.049911685761e-06 14 Yes No No Yes 3.046451979571e-13 Yes -8.7 0.943 0.000 91.39629454882 0.697 62 20141025.032811.000 5.049704932737e-06 14 Yes No No Yes -1.620925615953e-14 Yes -8.7 0.943 0.000 91.09629454882 0.694 63 20141025.032812.000 5.049592370048e-06 14 Yes No No Yes 1.372235658437e-13 Yes -8.7 0.943 0.000 91.29629454882 0.696 64 20141025.032813.000 5.049573997255e-06 14 Yes No No Yes -2.672306820273e-13 Yes -8.7 0.943 0.000 91.19629454882 0.695 65 20141025.032814.000 5.049819356434e-06 14 Yes No No Yes 3.952393967666e-14 Yes -8.7 0.943 0.000 91.29629454882 0.696 66 20141025.032815.000 5.049622022927e-06 14 Yes No No Yes 3.952393967666e-14 Yes -8.7 0.943 0.000 91.29629454882 0.695 67 20141025.032816.000 5.049744934539e-06 14 Yes No No Yes -3.300693052211e-13 Yes -8.7 0.942 0.000 91.29629454882 0.695 68 20141025.032817.000 5.048294873836e-06 14 Yes No No Yes -1.347810751895e-13 Yes -8.5 0.944 0.000 91.19629454882 0.708 69 20141025.032818.000 5.046825973225e-06 14 Yes No No Yes 1.720845688169e-13 Yes -8.5 0.944 0.000 91.39629454882 0.711 70 20141025.032819.000 5.048371156301e-06 14 Yes No No Yes 2.57571741713e-14 Yes -8.6 0.941 0.000 91.09629454882 0.703 71 20141025.032820.000 5.049209912526e-06 14 Yes No No Yes 2.57571741713e-14 Yes -8.6 0.941 0.000 91.09629454882 0.7 72 20141025.032821.000 5.049587130015e-06 14 Yes No No Yes -2.533528942195e-13 Yes -8.7 0.941 0.000 90.89629454882 0.695 73 20141025.032822.000 5.049728887677e-06 14 Yes No No Yes -4.407585407762e-14 Yes -8.6 0.942 0.000 91.39629454882 0.699 74 20141025.032823.000 5.047110862264e-06 14 Yes No No Yes -3.708144902248e-14 Yes -8.5 0.942 0.000 91.39629454882 0.712 75 20141025.032824.000 5.047045401634e-06 14 Yes No No Yes 4.662936703426e-15 Yes -8.5 0.942 0.000 91.59629454882 0.709 76 20141025.032825.000 5.047111792768e-06 14 Yes No No Yes 4.662936703426e-15 Yes -8.5 0.942 0.000 91.59629454882 0.71 77 20141025.032826.000 5.047818690464e-06 14 Yes No No Yes 6.750155989721e-14 Yes -8.6 0.941 0.000 91.59629454882 0.7 78 20141025.032827.000 5.047301103503e-06 14 Yes No No Yes 1.443289932013e-13 Yes -8.6 0.942 0.000 90.99629454882 0.704 79 20141025.032828.000 5.046595150347e-06 14 Yes No No Yes 2.349231920107e-13 Yes -8.5 0.940 0.000 91.29629454882 0.712 80 20141025.032829.000 5.047725888758e-06 14 Yes No No Yes 1.929567616799e-13 Yes -8.5 0.940 0.000 90.99629454882 0.709 81 20141025.032830.000 5.048197311055e-06 14 Yes No No Yes 1.929567616799e-13 Yes -8.5 0.940 0.000 90.99629454882 0.707 82 20141025.032831.000 5.046634214407e-06 14 Yes No No Yes 3.883560140139e-13 Yes -8.6 0.940 0.000 91.19629454882 0.704 83 20141025.032832.000 5.046144897836e-06 14 Yes No No Yes -7.194245199571e-14 Yes -8.5 0.942 0.000 91.09629454882 0.712 84 20141025.032833.000 5.048415336269e-06 14 Yes No No Yes -1.277866701344e-13 Yes -8.7 0.940 0.000 91.09629454882 0.698 85 20141025.032834.000 5.050120649362e-06 14 Yes No No Yes -3.719247132494e-13 Yes -8.7 0.940 0.000 91.19629454882 0.693 86 20141025.032835.000 5.049970403784e-06 14 Yes No No Yes -1.620925615953e-14 Yes -8.7 0.940 0.000 91.19629454882 0.696 87 20141025.032836.000 5.050093322414e-06 14 Yes No No Yes -1.766364832179e-13 Yes -8.7 0.941 0.000 91.19629454882 0.698 88 20141025.032837.000 5.049651087633e-06 14 Yes No No Yes -1.620925615953e-14 Yes -8.6 0.941 0.000 91.19629454882 0.7 89 20141025.032838.000 5.048832105549e-06 14 Yes No No Yes 1.652011860642e-13 Yes -8.5 0.941 0.000 91.09629454882 0.706 90 20141025.032839.000 5.049312934205e-06 14 Yes No No Yes 2.069455717901e-13 Yes -8.7 0.940 0.000 91.19629454882 0.696 91 20141025.032840.000 5.049501785937e-06 14 Yes No No Yes 2.069455717901e-13 Yes -8.6 0.940 0.000 91.29629454882 0.7 92 20141025.032841.000 5.049426892101e-06 14 Yes No No Yes -1.068034549689e-13 Yes -8.7 0.940 0.000 90.89629454882 0.696 93 20141025.032842.000 5.049578068402e-06 14 Yes No No Yes -2.323696790541e-13 Yes -8.7 0.941 0.000 91.39629454882 0.695 94 20141025.032843.000 5.04914525226e-06 14 Yes No No Yes -1.835198659705e-13 Yes -8.6 0.942 0.000 91.39629454882 0.705 95 20141025.032844.000 5.047751711284e-06 14 Yes No No Yes -9.992007221626e-14 Yes -8.5 0.942 0.000 91.19629454882 0.71 96 20141025.032845.000 5.047441342708e-06 14 Yes No No Yes -9.992007221626e-14 Yes -8.5 0.942 0.000 91.19629454882 0.707 97 20141025.032846.000 5.048892342029e-06 14 Yes No No Yes -7.194245199571e-14 Yes -8.5 0.942 0.000 91.29629454882 0.706 98 20141025.032847.000 5.049429683394e-06 14 Yes No No Yes 2.906563878469e-13 Yes -8.7 0.941 0.000 91.09629454882 0.696 99 20141025.032848.000 5.050089485483e-06 14 Yes No No Yes 1.443289932013e-13 Yes -8.7 0.941 0.000 91.19629454882 0.695 100 20141025.032849.000 5.050184133285e-06 14 Yes No No Yes 2.278177646531e-13 Yes -8.7 0.943 0.000 91.39629454882 0.696 101 20141025.032850.000 5.049817265158e-06 14 Yes No No Yes 2.278177646531e-13 Yes -8.7 0.943 0.000 91.39629454882 0.696 102 20141025.032851.000 5.049638762787e-06 14 Yes No No Yes 2.766675777366e-13 Yes -8.7 0.943 0.000 91.09629454882 0.695 103 20141025.032852.000 5.049997157034e-06 14 Yes No No Yes -5.80646641879e-14 Yes -8.7 0.944 0.000 90.89629454882 0.696 104 20141025.032853.000 5.049131066844e-06 14 Yes No No Yes -4.407585407762e-14 Yes -8.5 0.943 0.000 91.09629454882 0.706 105 20141025.032854.000 5.048340341554e-06 14 Yes No No Yes 8.149037000749e-14 Yes -8.5 0.941 0.000 91.09629454882 0.708 106 20141025.032855.000 5.047898106773e-06 14 Yes No No Yes 8.149037000749e-14 Yes -8.5 0.941 0.000 91.09629454882 0.707 107 20141025.032856.000 5.047060287357e-06 14 Yes No No Yes -3.370637102762e-13 Yes -8.5 0.941 0.000 91.09629454882 0.709 108 20141025.032857.000 5.049019898705e-06 14 Yes No No Yes 2.140509991477e-13 Yes -8.7 0.940 0.000 91.09629454882 0.698 109 20141025.032858.000 5.049481902144e-06 14 Yes No No Yes 2.069455717901e-13 Yes -8.6 0.941 0.000 91.29629454882 0.703 110 20141025.032859.000 5.04963306441e-06 14 Yes No No Yes -4.407585407762e-14 Yes -8.7 0.941 0.000 91.19629454882 0.696 111 20141025.032900.000 5.049200262303e-06 14 Yes No No Yes -4.407585407762e-14 Yes -8.6 0.941 0.000 91.29629454882 0.704 112 20141025.032901.000 5.049502128928e-06 14 Yes No No Yes 1.929567616799e-13 Yes -8.6 0.941 0.000 91.39629454882 0.701 113 20141025.032902.000 5.049304801561e-06 14 Yes No No Yes -3.230749001659e-13 Yes -8.7 0.940 0.000 91.29629454882 0.698 114 20141025.032903.000 5.049503058774e-06 14 Yes No No Yes 1.372235658437e-13 Yes -8.7 0.940 0.000 91.09629454882 0.695 115 20141025.032904.000 5.049946224278e-06 14 Yes No No Yes 1.163513729807e-13 Yes -8.7 0.942 0.000 91.09629454882 0.696 116 20141025.032905.000 5.049974938977e-06 14 Yes No No Yes 1.163513729807e-13 Yes -8.7 0.942 0.000 91.09629454882 0.697 117 20141025.032906.000 5.049947154124e-06 14 Yes No No Yes -1.696420781627e-13 Yes -8.7 0.942 0.000 91.09629454882 0.696 118 20141025.032907.000 5.049156416553e-06 14 Yes No No Yes 4.662936703426e-14 Yes -8.5 0.942 0.000 91.39629454882 0.706 119 20141025.032908.000 5.047112964067e-06 14 Yes No No Yes -9.292566716113e-14 Yes -8.5 0.942 0.000 91.39629454882 0.708 120 20141025.032909.000 5.046482349494e-06 14 Yes No No Yes 1.092459456231e-13 Yes -8.5 0.939 0.000 91.29629454882 0.711 121 20141025.032910.000 5.046567591815e-06 14 Yes No No Yes 1.092459456231e-13 Yes -8.5 0.939 0.000 91.29629454882 0.711 122 20141025.032911.000 5.046662241371e-06 14 Yes No No Yes -2.323696790541e-13 Yes -8.5 0.938 0.000 91.29629454882 0.711 123 20141025.032912.000 5.046577941944e-06 14 Yes No No Yes 1.176836406103e-14 Yes -8.5 0.939 0.000 91.39629454882 0.709 124 20141025.032913.000 5.047444948493e-06 14 Yes No No Yes -1.835198659705e-13 Yes -8.5 0.939 0.000 91.39629454882 0.711 125 20141025.032914.000 5.046852023388e-06 14 Yes No No Yes 1.443289932013e-13 Yes -8.5 0.939 0.000 91.39629454882 0.71 126 20141025.032915.000 5.04628734104e-06 14 Yes No No Yes 1.443289932013e-13 Yes -8.5 0.939 0.000 91.39629454882 0.709 127 20141025.032916.000 5.046909468137e-06 14 Yes No No Yes 2.418065747634e-13 Yes -8.5 0.939 0.000 91.39629454882 0.71 128 20141025.032917.000 5.048115558289e-06 14 Yes No No Yes -1.068034549689e-13 Yes -8.5 0.939 0.000 91.39629454882 0.709 129 20141025.032918.000 5.04902967415e-06 14 Yes No No Yes -1.626476731076e-13 Yes -8.5 0.941 0.000 91.39629454882 0.707 130 20141025.032919.000 5.049378635723e-06 14 Yes No No Yes -2.742250870824e-13 Yes -8.6 0.941 0.000 91.29629454882 0.704 131 20141025.032920.000 5.049463879798e-06 14 Yes No No Yes -2.742250870824e-13 Yes -8.6 0.941 0.000 91.29629454882 0.699 132 20141025.032921.000 5.0491723485e-06 14 Yes No No Yes -1.696420781627e-13 Yes -8.6 0.941 0.000 91.39629454882 0.703 133 20141025.032922.000 5.049229334027e-06 14 Yes No No Yes -2.323696790541e-13 Yes -8.6 0.940 0.000 91.49629454882 0.704 134 20141025.032923.000 5.0488624659e-06 14 Yes No No Yes 6.061817714453e-14 Yes -8.5 0.940 0.000 91.39629454882 0.707 135 20141025.032924.000 5.047148664892e-06 14 Yes No No Yes 3.883560140139e-13 Yes -8.5 0.939 0.000 91.69629454882 0.709 136 20141025.032925.000 5.046414468978e-06 14 Yes No No Yes 3.883560140139e-13 Yes -8.5 0.939 0.000 91.69629454882 0.71 137 20141025.032926.000 5.046141774957e-06 14 Yes No No Yes -1.626476731076e-13 Yes -8.6 0.940 0.000 91.29629454882 0.705 138 20141025.032927.000 5.046038623451e-06 14 Yes No No Yes 2.837730050942e-13 Yes -8.5 0.940 0.000 91.39629454882 0.709 139 20141025.032928.000 5.046538289712e-06 14 Yes No No Yes -7.194245199571e-14 Yes -8.6 0.940 0.000 91.19629454882 0.703 140 20141025.032929.000 5.047518352602e-06 14 Yes No No Yes -2.672306820273e-13 Yes -8.6 0.941 0.000 91.29629454882 0.702 141 20141025.032930.000 5.048780957438e-06 14 Yes No No Yes -2.672306820273e-13 Yes -8.7 0.941 0.000 91.19629454882 0.697 142 20141025.032931.000 5.04725553666e-06 14 Yes No No Yes -1.486588629973e-13 Yes -8.6 0.943 0.000 91.59629454882 0.705 143 20141025.032932.000 5.047670431336e-06 14 Yes No No Yes 3.115285807098e-13 Yes -8.5 0.941 0.000 90.99629454882 0.708 144 20141025.032933.000 5.049394595741e-06 14 Yes No No Yes -1.347810751895e-13 Yes -8.7 0.941 0.000 90.89629454882 0.696 145 20141025.032934.000 5.049771814107e-06 14 Yes No No Yes -2.253752739989e-13 Yes -8.7 0.943 0.000 90.89629454882 0.694 146 20141025.032935.000 5.05035625042e-06 14 Yes No No Yes -2.253752739989e-13 Yes -8.7 0.943 0.000 90.89629454882 0.696 147 20141025.032936.000 5.050168327656e-06 14 Yes No No Yes -7.194245199571e-14 Yes -8.7 0.943 0.000 90.89629454882 0.695 148 20141025.032937.000 5.049773216771e-06 14 Yes No No Yes -3.090860900556e-13 Yes -8.7 0.941 0.000 91.29629454882 0.697 149 20141025.032938.000 5.049971473984e-06 14 Yes No No Yes 5.417888360171e-13 Yes -8.7 0.942 0.000 91.29629454882 0.696